前言

  Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

软件主要特点:

RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真
单内核VHDL和Verilog混合仿真
源代码模版和助手,项目管理
集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能
C和Tcl/Tk接口,C调试
对SystemC的直接支持,和HDL任意混合
支持SystemVerilog的设计功能
对系统级描述语言的最全面支持,SystemVerilog,SystemC,PSL
ASIC Sign off
可以单独或同时进行行为(behavioral)、RTL级、和门级(gate-level)的代码

安装教程

  根据实际需要,我选用的是版本是Modelsim SE-64-10.2c,操作系统为 Win10专业版22H2,在本机上激活成功,通过帮助其他人使用此方法激活,发现基本上不存在问题,如果有问题请邮箱联系我或者寻求其他激活教程。

第一步:下载所需文件

  下载我所提供的激活软件和安装软件,戳我直达密码pteq,下载解压后会得到以下目录。

文件目录
文件目录

第二步:安装ModelSim

1.双击解压文件目录内的modelsim-win64-10.2c-se.exe进行安装。

2.根据安装指导程序进行安装,这里直接下一步。

安装指导程序
安装指导程序

3.这一步为勾选安装路径,如果不懂如何更换或者嫌麻烦默认的就可以,切记路径不能含有中文,否则会产生未知的错误。

安装目录选择
安装目录选择

4.如果出现下图,说明已经成功进入了安装过程。

安装中
安装中

5.出现下图弹窗为是否要创建桌面快捷方式,对电脑操作不太熟练的建议选中Yes。

创建快捷方式
创建快捷方式

6.出现下图弹窗为是否添加到环境中,这里勾选Yes就可以。

环境配置
环境配置

7.到最后的提示是否安装Key Driver,这里选择No,不要安装Key Driver。

Key Driver
Key Driver

8.出现下图,说明安装成功。

安装成功
安装成功

第三步:激活ModelSim

1.复制ModelSim-SE10.2c-crack-OK 文件夹内的MentorKG.execrack.bat

目录
目录

2.将复制的文件粘贴至ModelSim软件安装的所在win64目录下,注意不要漏文件,两个都需要复制粘贴过去。

软件目录
软件目录

3.可以直接通过搜索或者其他方式以管理员身份打开PowerShell,随后使用cd命令移动至ModelSim软件安装的所在win64目录。

PowerShell
PowerShell

下面以我的为例。

1
cd G:\ModelSim\win64
PowerShell
PowerShell

4.随后执行以下命令。

1
.\crack.bat

执行命令后会得到一个License文件,将其保存至一个英文目录备用。

License文件
License文件

5.在桌面,右击计算机,选择-属性-然后在属性页面选择高级系统设置。

高级系统设置
高级系统设置

选择-高级-环境变量。

环境变量
环境变量

最后选择-用户变量-新建一个用户变量。

用户变量
用户变量

此处填写变量名为LM_LICENSE_FILE,变量值为License放置的目录,请注意文件名不要写错,下面以我的为例:G:\ModelSim\LICENSE.TXT

创建用户变量
创建用户变量

最后全部确认即可,这个时候打开软件发现已经可以正常使用了。

后记

  仅供学习交流使用,所有资源来源于网络,仅提供学习方法,正版请支持ModelSim官网,版权归原作者所有。


博客归档


👨‍💻本站由 @Lin 使用 Hexo 程序创建

渝ICP备2023008614号 | 渝公网安备 50023602000463号